Sabtu, 12 April 2014

Pemrograman Keypad 3x4 pada AVR 128 menggunakan Code Vision

Bismillah..
Berawal dari mencoba mempraktekkan teori cara kerja (scan) pembacaan keypad, sehingga Sy bisa share hasilnya disini. :)

Salah satu perangkat yang sering dibutuhkan pada aplikasi mikrokontroler adalah kemampuan berinteraksi dengan pengguna peralatan tersebut. Salah satu cara berinteraksi dengan pengguna adalah dengan menggunakan keypad. Di sini akan kita pelajari tentang pemrograman keypad 3x4 pada mikrokontroler AVR128 dengan menggunakan Code Vision.

Berikut adalah contoh gambar fisik keypad yang sering digunakan:

Keypad tersebut memiliki konfigurasi internal berupa skema berbentuk matrix baris (ROW) dan kolom (COL) sebagai berikut:

Pada mikrokontroler setiap COLOM keypad akan dihubungkan dengan pin "output" mikrokontroler. Sedangkan pada setiap ROW keypad akan dihubungkan dengan pin "input" mikrokontroler.

Salah satu cara untuk membaca data dari matrix keypad adalah dengan teknik scanning, dimana baris atau kolom selalu discan untuk mendeteksi tombol yang ditekan. Caranya yaitu dengan memberikan status ‘0’ (low) pada salah satu pin COL (dan yg lain kondisi HIGH) secara bergantian, lalu pin ROW dideteksi apakah ada salah satunya yang berkondisi ‘0’ (low). Berikut ilustrasi urutan scaning dan pembacaan keypad:
  1. Apabila Kolom 1 diberi logika ‘0’, kolom kedua dan kolom ketiga diberi logika ‘1’ maka program akan mengecek tombol 1, 4, 7, dan *, sehingga apabila salah satu baris berlogika '0' maka ada tombol yang ditekan.
     
  2. Apabila Kolom 2 diberi logika ‘0’, kolom pertama dan kolom ketiga diberi logika ‘1’ maka program akan mengecek tombol 2, 5, 8, dan 0, sehingga apabila salah satu baris berlogika '0' maka ada tombol yang ditekan.
  3. Apabila Kolom 3 diberi logika ‘0’, kolom pertama dan kolom kedua diberi logika ‘1’ maka program akan mengecek tombol 3, 6, 9, dan #, sehingga apabila salah satu baris berlogika '0' maka ada tombol yang ditekan.
Cara ini dilakukan secara terus menerus sehingga setiap kali keypad ditekan maka akan langsung terdeteksi posisi tombol yang ditekan.

Kali ini Sy akan mencontohkan pemrograman keypad dan menampilkannya pada LCD 16x2 sehingga mudah mengecek tombol yang ditekan. LCD Sy hubungkan dengan PORTC sedangkan Keypad Sy hubungkan dengan PORTE, dengan konfigurasi keypad sebagai Berikut

row1 -> PINE.0 
row2 ->PINE.1
row3 ->PINE.2
row4 ->PINE.3
col1 ->PINE.6
col2 ->PINE.5
col3 ->PINE.4

Berikut skema proteusnya

Pada program kali ini Sy membuat procedure bernama keypad(), yang kemudian prosedur keypad() tersebut akan dieksekusi oleh Timer0 secara terus menerus dan akan mengupdate posisi tombol yg ditekan (bila ada). Penggunaan Timer ini cukup penting karena Timer bisa bekerja secara paralel dengan program utama pada mikrokontroler itu sendiri. (Tolong dicross cek apabila salah).

Berikut adalah coding untuk konfigurasinya:

#include <alcd.h>
#include <stdio.h>

unsigned char text[16],nilai_keypad; /*kalo ada yg tdk sesuai pada saat awal sebelum ada tombol yg ditekan maka perlu inisialisasi nilai_keypad=13 (angka diluar range angka yang benar)*/
 
// Port E initialization
// Func7=In Func6=Out Func5=Out Func4=Out Func3=In Func2=In Func1=In Func0=In
// State7=T State6=0 State5=0 State4=0 State3=P State2=P State1=P State0=P
PORTE=0x0F;
DDRE=0x70;

// Timer/Counter 0 initialization
// Clock source: System Clock
// Clock value: 10000.000 kHz
// Mode: Normal top=0xFF
// OC0 output: Disconnected
ASSR=0x00;
TCCR0=0x01;
TCNT0=0x00;
OCR0=0x00;
 
// Timer(s)/Counter(s) Interrupt(s) initialization
TIMSK=0x02;

ETIMSK=0x00;
// Alphanumeric LCD initialization
// Connections are specified in the
// Project|Configure|C Compiler|Libraries|Alphanumeric LCD menu:
// RS - PORTC Bit 0
// RD - PORTC Bit 2
// EN - PORTC Bit 1
// D4 - PORTC Bit 4
// D5 - PORTC Bit 5
// D6 - PORTC Bit 6
// D7 - PORTC Bit 7
// Characters/line: 16
lcd_init(16);

// Global enable interrupts
#asm("sei")
 
Program Procedure keypad()

unsigned char keypad(){
    unsigned char x,i,j;  
    bit y;
    x=0b01000000;
    for (i=0;i<3;i++) {
        PORTE =~((x>>i) & 0x70);
        for (j=0;j<4;j++) {
            y=(PINE >> j) & 0x1;
            if(y==0) return((i+1)+(3*j));  
            //rumus ini untuk menentukan posisi sesuai baris dan kolom
        }
    }
}

Scaning keypad() pada Timer0 supaya dilakukan scan terus menerus

// Timer 0 output compare interrupt service routine
interrupt [TIM0_COMP] void timer0_comp_isr(void)
{
// Place your code here
nilai_keypad=keypad();
}

Program utama sekaligus mengecek apakah benar nilai_keypad selalu discan oleh Timer0

while (1)
    {
        // Place your code here
        lcd_gotoxy(0,0);
        lcd_putsf("* Program Utama ");
        lcd_gotoxy(0,0);
        lcd_putsf("**--Cek--**     ");
        //mengecek apakah nilai_keypad selalu update
        lcd_gotoxy(0,1);
        if(nilai_keypad<10){
            sprintf(text,"%u",nilai_keypad);
            lcd_puts(text);}
        else if(nilai_keypad==10) lcd_putsf("*");
        else if(nilai_keypad==11) lcd_putsf("0");
        else if(nilai_keypad==12) lcd_putsf("#");
      }
 

Alhamdulillah akhirnya berhasil jg menerapkan teori keypad ini.. hehe simple tapi harus teliti memang..

Catatan: Procedure keypad() bisa dieksekusi langsung di program utama tanpa menggunakan Timer. Sy hanya mengetes kinerja Timer saja..

Jumat, 04 April 2014

Pemrograman FPGA pada aplikasi Elevator 5 Lantai (Simulasi TINA)



Share tugas kuliah.. :)


BAGIAN-BAGIAN ELEVATOR

1. Panel Bagian Dalam Elevator
Panel bagian dalam elevator memiliki tombol untuk menuju ke lantai yang akan dituju dan tombol buka pintu untuk menahan pintu tetap terbuka bila diperlukan pada saat elevator dalam keadaan berhenti. Gambar 1. berikut ilustrasi panel bagian dalam elevator:
 

Gambar 1. Ilustrasi Panel Bagian Dalam Elevator Lima Lantai

2. Panel Bagian Luar Elevator pada Setiap Lantai Gedung
Panel bagian luar elevator pada setiap lantai gedung memilik tombol untuk request masuk ke elevator, sehingga penumpang bisa memanggil (request) elevator dan masuk elevator. Ilustrasi panel bagian luar pada setiap lantai dapat dilihat pada Gambar 2. berikut:

Gambar 2. Ilustrasi Panel Bagian Luar Elevator pada Setiap Lantai Gedung

3. Indikator Posisi Elevator
Elevator juga dilengkapi indikator posisi elevator (bisa berupa seven segment atau perangkat display lain), sehingga posisi elevator bisa diketahui ada pada lantai berapa dan apakah sedang naik atau turun. Gambar 3. berikut mengilustrasikan indikator posisi elevator:

 Gambar 3. Ilustrasi Indikator Posisi Elevator

STATE DIAGRAM ELEVATOR (ILUSTRASI)

Pada Gambar 4. berikut mengilustrasikan state diagram cara kerja dari elevator lima lantai. Elevator ini bergerak berdasarkan perintah atau request baik dari dalam elevator maupun dari luar elevator (pada setiap lantai). Kemudian elevator akan membandingkan posisi elevator (saat ini) dengan lantai yang akan dituju sesuai request, sehingga elevator akan bisa menentukan arah geraknya (naik atau turun).

Gambar 4. Ilustrasi State Diagram Elevator Lima Lantai

DESIGN TINA

Berikut adalah penerapan design pada simulator TINA:

Gambar 6. Simulasi Design Elevator Menggunakan Software TINA

VIDEO SIMULASI
 

SOURCE CODE

Source code dicompile dengan program Quartus. Berikut source code VHDL dari state diagram elevator lima lantai:
 
--Bismillah
--Coba Elevator
--Originally Designed by: Muhammad Nurul Puji (muhammadpuji.its@gmail.com)
--Student of University of Indonesia (UI)
library ieee;
use ieee.std_logic_1164.all;

entity cobaelevator is
port (clk : in std_logic;        --untuk maintenance (tombol stop)
        manual_bukapintu : in std_logic;        --dari dalam (atau luar (diseri dgn luar atau diseri dengan sensor)) elevator (hanya pada saat berhenti)
        in1, in2, in3, in4, in5 : in std_logic;        --dari dalam elevator (untuk tujuan mau kelantai berapa?)
       
        in1naik, in2naik, in3naik, in4naik, in5naik : in std_logic;      --dari masing-masing lantai (diluar elevator)
        in1turun, in2turun, in3turun, in4turun, in5turun : in std_logic;        --dari masing-masing lantai (diluar elevator)
       
        a1, a2, a3, a4, a5, a6, a7: out std_logic;            --untuk menunjukkan posisi elevator melalui seven segmen
        motornaik, motorturun, tutuppintu: out std_logic;        --untuk mekanik motor dan pintu
        bukapintu: out std_logic);                            --harusnya pake buffer tapi di Tina tdk bisa (jadi untuk simulasi tetap pake out). Buffer digunakan sbg buffer supaya bisa di read and write (untuk cek apa masih terbuka)
end cobaelevator;

architecture behavior of cobaelevator is
    constant timetutuppintu: integer := 3;            --untuk tutup pintu otomatis
    constant timepintuselesaimenutup: integer :=2;    --waktu pintu untuk selesai menutup
    constant time_nx_state: integer := 4;            --waktu yg dibutuhkan untuk naik satu lantai
   
    signal permintaan : std_logic_vector (0 to 4) :="00000";
    signal arahelevator : integer range 0 to 2 :=0;
    signal signalmotornaik : std_logic :='0';
    signal signalmotorturun : std_logic :='0';
    signal signalnaikturunpenumpang : std_logic:='0';
    signal signalstatus : std_logic:='1';
   
    type status is (lantai1, lantai2, lantai3, lantai4, lantai5);        --penentuan signal dari masing-masing posisi lantai
    signal pr_state, nx_state: status;
   
begin
   
    utama: process (clk, manual_bukapintu, in1, in2, in3, in4, in5, in1naik, in2naik, in3naik, in4naik, in5naik, in1turun, in2turun, in3turun, in4turun, in5turun)
        variable digit1 : std_logic_vector (6 downto 0);                ----------untuk Seven Segment------
        variable count: integer range 0 to (time_nx_state + timetutuppintu + timepintuselesaimenutup);
        variable bufferbukapintu: std_logic;        --harusnya menggunakan buffer untuk bukapintu, tapi di Tina tdk bisa. Jadi menggunakan variable ini
       
        ------------Variable ini untuk Arah Elevator---------------------
        variable posisi : integer range 0 to 4;
        variable tempnaik, tempturun : integer range -4 to 4 :=0;        --karena ada kemungkinan bernilai negatif (tetapi yg dipake cuma positif)
        variable temp : integer range 1 to 2 :=1;
        ------------End Variable Arah Elevator---------------------------   

    begin
        if (clk'event and clk='1') then    
            ------------------Cek Permintaan----------------
            permintaan(0)<= permintaan(0) or in1 or in1naik or in1turun;
            permintaan(1)<= permintaan(1) or in2 or in2naik or in2turun;
            permintaan(2)<= permintaan(2) or in3 or in3naik or in3turun;
            permintaan(3)<= permintaan(3) or in4 or in4naik or in4turun;
            permintaan(4)<= permintaan(4) or in5 or in5naik or in5turun;
                   
            ------------Begin Arah Elevator-----------------------
            case pr_state is
                when lantai1 => posisi :=0;
                when lantai2 => posisi :=1;
                when lantai3 => posisi :=2;
                when lantai4 => posisi :=3;
                when lantai5 => posisi :=4;
            end case;
           
            for i in 1 to 4 loop                        -- i harus dalam range konstanta
                if permintaan(i)= '1' then
                    tempnaik:=i-posisi;
                else null;
                end if;
            end loop;       
            for i in 3 downto 0 loop                -- i harus dalam range konstanta
                if permintaan(i)= '1' then
                    tempturun:=posisi-i;
                else null;
                end if;
            end loop;
                   
            if tempnaik=0 and tempturun=0 then        --saat posisi lantai sama dengan permintaan
                arahelevator<=0;
            elsif temp=1 then
                arahelevator <= 1;        --naik
                if tempnaik < 1 then
                    temp :=2 ;
                else null;
                end if;
            elsif temp= 2 then            --turun
                arahelevator <= 2;
                if tempturun <1 then
                    temp:=1;
                else null;
                end if;
            else null;
            end if;
            --------------End Arah Elevator------------------------------
               
            -----------------Bagian Utama------------------------------
            count:=count + 1;           
            if (manual_bukapintu='1' and signalmotornaik = '0' and signalmotorturun = '0') then        --untuk menahan pintu tetap terbuka saat elevator berhenti
                bukapintu<='1';
                bufferbukapintu:='1';
                tutuppintu <= '0';
                count:=0;
            elsif (signalnaikturunpenumpang='1') then
                if (count < timetutuppintu) then
                    motornaik<=signalmotornaik;
                    motorturun<=signalmotorturun;
                    bukapintu <= '1';
                    bufferbukapintu:='1';
                    tutuppintu <= '0';
                elsif (count < (timetutuppintu + timepintuselesaimenutup) ) then
                    bukapintu<='0';
                    bufferbukapintu:='0';
                    tutuppintu<='1';
                else
                    tutuppintu <='0';
                    pr_state<=nx_state;
                    if signalstatus='1' then
                        signalstatus<='0';
                    else
                        signalstatus<='1';
                    end if;
                    count:=0;
                end if;
            else                        --jika tidak ada naik turun penumpang maka lift tdk berhenti
                if (count < timepintuselesaimenutup) then        --untuk mengatasi kondisi setelah manual_bukapintu. karena akan lari ke sini, sehingga harus ditutup pintunya
                    if (bufferbukapintu = '1') then
                        bukapintu <= '0';
                        bufferbukapintu:='0';
                        tutuppintu <= '1';
                    else
                        count:=timepintuselesaimenutup;
                    end if;
                elsif (count < timepintuselesaimenutup + time_nx_state) then
                    tutuppintu <= '0';
                    motornaik<=signalmotornaik;
                    motorturun<=signalmotorturun;
                else
                    pr_state<=nx_state;
                    if signalstatus='1' then
                        signalstatus<='0';
                    else
                        signalstatus<='1';
                    end if;
                    count:=0;
                end if;               
            end if;   

            -------------Begin Display Seven Segment--------------
            case pr_state is
                when lantai1 =>
                    digit1 := "1111001";   
                    if permintaan(0)='1' then                ----------bila lantai sdh sama dengan yang diminta maka permintaan pada lantai tsb di nol kan
                        permintaan(0)<='0';
                    else null;
                    end if;
                when lantai2 =>
                    digit1 := "0100100";    
                    if permintaan(1)='1' then
                        permintaan(1)<='0';
                    else null;
                    end if;
                when lantai3 =>
                    digit1 := "0110000";       
                    if permintaan(2)='1' then
                        permintaan(2)<='0';
                    else null;
                    end if;
                when lantai4 =>
                    digit1 := "0011001";       
                    if permintaan(3)='1' then
                        permintaan(3)<='0';
                    else null;
                    end if;
                when lantai5 =>
                    digit1 := "0010010";        
                    if permintaan(4)='1' then
                        permintaan(4)<='0';
                    else null;
                    end if;
                when others => null;
            end case;
            a1<=digit1(0);
            a2<=digit1(1);
            a3<=digit1(2);
            a4<=digit1(3);
            a5<=digit1(4);
            a6<=digit1(5);
            a7<=digit1(6);
            -------------------End Display Seven Segment------------------       
        end if;
    end process utama;

    step: process (pr_state, signalstatus)
    begin
            --------------Begin Penentuan Perubahan State----------------
            case pr_state is
                when lantai1 =>               
                    if (permintaan(0)='1') then     --berhenti dan buka pintu hanya apabila ada permintaan pada lantai tsb
                        nx_state <= pr_state;
                        signalmotornaik <= '0';
                        signalmotorturun <= '0';
                        signalnaikturunpenumpang<='1';
                    else
                        signalnaikturunpenumpang<='0';
                        if arahelevator=1 then            --1 berarti naik
                            nx_state<=lantai2;
                            signalmotornaik <= '1';
                            signalmotorturun <= '0';
                        else
                            nx_state<=pr_state;            --lantai 1 hanya bisa naik atau diam
                            signalmotornaik <= '0';
                            signalmotorturun <= '0';
                        end if;
                    end if;
                when lantai2 =>               
                    if (permintaan(1)='1') then
                        nx_state <= pr_state;
                        signalmotornaik <= '0';
                        signalmotorturun <= '0';
                        signalnaikturunpenumpang<='1';
                    else
                        signalnaikturunpenumpang<='0';
                        if arahelevator=1 then            --1 berarti naik
                            nx_state<=lantai3;
                            signalmotornaik <= '1';
                            signalmotorturun <= '0';
                        elsif arahelevator=2 then        --2 berarti turun
                            nx_state<=lantai1;
                            signalmotornaik <= '0';
                            signalmotorturun <= '1';
                        else
                            nx_state<=pr_state;
                            signalmotornaik <= '0';
                            signalmotorturun <= '0';
                        end if;
                    end if;
                when lantai3 =>               
                    if (permintaan(2)='1') then     --berhenti dan buka pintu hanya apabila ada permintaan pada lantai tsb
                        nx_state <= pr_state;
                        signalmotornaik <= '0';
                        signalmotorturun <= '0';
                        signalnaikturunpenumpang<='1';
                    else
                        signalnaikturunpenumpang<='0';
                        if arahelevator=1 then            --1 berarti naik
                            nx_state<=lantai4;
                            signalmotornaik <= '1';
                            signalmotorturun <= '0';
                        elsif arahelevator=2 then        --2 berarti turun
                            nx_state<=lantai2;
                            signalmotornaik <= '0';
                            signalmotorturun <= '1';
                        else
                            nx_state<=pr_state;
                            signalmotornaik <= '0';
                            signalmotorturun <= '0';
                        end if;
                    end if;
                when lantai4 =>               
                    if (permintaan(3)='1') then     --berhenti dan buka pintu hanya apabila ada permintaan pada lantai tsb
                        nx_state <= pr_state;
                        signalmotornaik <= '0';
                        signalmotorturun <= '0';
                        signalnaikturunpenumpang<='1';
                    else
                        signalnaikturunpenumpang<='0';
                        if arahelevator=1 then            --1 berarti naik
                            nx_state<=lantai5;
                            signalmotornaik <= '1';
                            signalmotorturun <= '0';
                        elsif arahelevator=2 then        --2 berarti turun
                            nx_state<=lantai3;                       
                            signalmotornaik <= '0';
                            signalmotorturun <= '1';
                        else
                            nx_state<=pr_state;
                            signalmotornaik <= '0';
                            signalmotorturun <= '0';
                        end if;
                    end if;
                when lantai5 =>               
                    if (permintaan(4)='1') then     --berhenti dan buka pintu hanya apabila ada permintaan pada lantai tsb
                        nx_state <= pr_state;
                        signalmotornaik <= '0';
                        signalmotorturun <= '0';
                        signalnaikturunpenumpang<='1';
                    else
                        signalnaikturunpenumpang<='0';
                        if arahelevator=2 then        --2 berarti turun
                            nx_state<=lantai4;                       
                            signalmotornaik <= '0';
                            signalmotorturun <= '1';
                        else
                            nx_state<=pr_state;
                            signalmotornaik <= '0';
                            signalmotorturun <= '0';
                        end if;
                    end if;
            end case;
            --------------------------End Penentuan Perubahan State-----------------------
    end process step;
           
end behavior;

--Alhamdulillah :)

Pemrograman Mikrokontroler AVR pada Aplikasi Pemisah Bola Logam dan Non Logam



Studi Kasus

Pada aplikasi kali ini akan dibuat program mikrokontroler jenis AVR untuk mengontrol proses pemisahan bola logam dengan non logam. Pada kasus ini jg akan Sy tampilkan simulasi sederhananya menggunakan ISIS.

Sensor yang diperlukan adalah sensor Gaya (berat) untuk mendeteksi apakah sdh ada bola (baik logam maupun non logam) yang akan dipisahkan. Kemudian sensor Proximity untuk mendeteksi keberadaan logam atau non logam. Sensor Proximity akan disambungkan pada PORT D4 dan sensor Gaya akan disambungkan pada PORT D5 pada mikrokontroler AVR.

Proses kontrol akan berjalan hanya apabila tombol Start/Reset ditekan. Dan akan mati otomatis (Powerdown) apabila pada saat sdh berjalan tidak terdeteksi adanya bola baik logam maupun non logam (terdeteksi dari sensor Gaya). Pada saat mati otomatis maka sistem akan di Powerdown untuk menghemat daya dan hanya bisa dihidupkan kembali dengan menekan tombol Start/Reset. Oleh karena itu tombol Start/Reset akan disambungkan pada input Interupt (PORT D0) pada mikrokontroler AVR.

Pada sisi aktuator akan dipasang LED yang mengindikasikan jenis bola apakah Logam atau NonLogam. Indikator LED ini akan dihubungkan dengan PORT A2 sebagai output serta dirangkaikan transistor PNP dan NPN sedemikian rupa sehingga bisa berfungsi untuk menyalakan LED Logam pada kondisi output LOW (0) dan menyalakan LED Non Logam pada kondisi output HIGH (1). Indikator LED ini pada kondisi real akan dihubungkan pada motor untuk mengatur posisi pada channel mana bola akan dikelompokkan (Logam atau Non Logam).

Aktuator berikutnya adalah selenoid untuk mendorong bola yang terdeteksi baik logam maupun non logam. Namun tentu saja setelah mendorong bola (selama selang waktu tertentu, misal 100ms) aktuator ini juga harus kembali ke posisi semula sehigga diperlukan sinyal untuk menarik kembali ke posisi semula (selama selang waktu tertentu, misal 100ms). Pada simulasi kali ini aktuator ini akan diperagakan oleh LED yg dirangkaikan dengan transistor PNP dan NPN sedemikian rupa sehingga apabila ada signal HIGH (1) maka aktuator akan melakukan aksi "dorong" dan bila LOW (0) akan melakukan aksi "tarik". Aktuator ini akan dihubungkan dengan mikrokontroler melalui PORT A1.

Pada saat Powerdown mikrokontroler juga akan memberikan indikator yaitu suara sirine (buzzer) sehingga memungkinkan operator untuk mengecek apakah bola sdh habis atau ada kesalahan pada sistem (atau ada bola yang macet misalnya) sehigga operator bisa segera me Start ulang sistem.

Pada sistem ini juga dilengkapi LCD 16x2 supaya lebih interaktif dan menampilkan jumlah bola Logam dan Non Logam yang sudah dipisah.

Pada saat Powerdown maka sistem tidak akan bisa menjalankan apa apa kecuali ada sinyal interupt dari tombol Start. Nah setelah di Start ulang maka sistem bisa langsung meneruskan perhitungan jumlah bola Logam dan Non Logam tanpa harus mengulang dari awal.

Berikut video simulasinya :)



Berikut screenshoot konfigurasi ISIS


Berikut screenshoot konfigurasi Codevision

Berikut Source Code yg sdh Sy buat:

/*****************************************************
Chip type               : ATmega128
Program type            : Application
AVR Core Clock frequency: 10.000000 MHz
Memory model            : Small
External RAM size       : 0
Data Stack size         : 1024
*****************************************************/

#include <mega128.h>
#include <stdio.h>
#include <delay.h>
#include <sleep.h>

// Alphanumeric LCD functions
#include <alcd.h>

#define sensor_gaya PIND.5
#define sensor_logam PIND.4

bit start = 0;
unsigned int jumlah_logam, jumlah_nonlogam, bataswaktu;
char text[16];

// External Interrupt 0 service routine
interrupt [EXT_INT0] void ext_int0_isr(void)
{
// Place your code here
start |= 1; //untuk interlock (mengunci)
//start ^= 1; //untuk toggle (pada saat on jika ditekan maka akan jadi off, begitu pula sebaliknya)
PORTA.0 = 0;
bataswaktu = 0;
lcd_clear();
lcd_putsf("    Starting    ");
}

// Timer1 output compare A interrupt service routine
interrupt [TIM1_COMPA] void timer1_compa_isr(void)
{
// Place your code here
bataswaktu++;           //setiap 1/25 detik
}

// Declare your global variables here

void main(void)
{
// Declare your local variables here

// Input/Output Ports initialization
// Port A initialization
// Func7=Out Func6=Out Func5=Out Func4=Out Func3=Out Func2=Out Func1=Out Func0=Out
// State7=0 State6=0 State5=0 State4=0 State3=0 State2=0 State1=0 State0=0
PORTA=0x00;
DDRA=0xFF;

// Port B initialization
// Func7=In Func6=In Func5=In Func4=In Func3=In Func2=In Func1=In Func0=In
// State7=T State6=T State5=T State4=T State3=T State2=T State1=T State0=T
PORTB=0x00;
DDRB=0x00;

// Port C initialization
// Func7=In Func6=In Func5=In Func4=In Func3=In Func2=In Func1=In Func0=In
// State7=T State6=T State5=T State4=T State3=T State2=T State1=T State0=T
PORTC=0x00;
DDRC=0x00;

// Port D initialization
// Func7=In Func6=In Func5=In Func4=In Func3=In Func2=In Func1=In Func0=In
// State7=T State6=T State5=T State4=T State3=T State2=T State1=T State0=T
PORTD=0x00;
DDRD=0x00;

// Port E initialization
// Func7=In Func6=In Func5=In Func4=In Func3=In Func2=In Func1=In Func0=In
// State7=T State6=T State5=T State4=T State3=T State2=T State1=T State0=T
PORTE=0x00;
DDRE=0x00;

// Port F initialization
// Func7=In Func6=In Func5=In Func4=In Func3=In Func2=In Func1=In Func0=In
// State7=T State6=T State5=T State4=T State3=T State2=T State1=T State0=T
PORTF=0x00;
DDRF=0x00;

// Port G initialization
// Func4=In Func3=In Func2=In Func1=In Func0=In
// State4=T State3=T State2=T State1=T State0=T
PORTG=0x00;
DDRG=0x00;

// Timer/Counter 0 initialization
// Clock source: System Clock
// Clock value: Timer 0 Stopped
// Mode: Normal top=0xFF
// OC0 output: Disconnected
ASSR=0x00;
TCCR0=0x00;
TCNT0=0x00;
OCR0=0x00;

// Timer/Counter 1 initialization
// Clock source: System Clock
// Clock value: 1250.000 kHz
// Mode: CTC top=OCR1A
// OC1A output: Discon.
// OC1B output: Discon.
// OC1C output: Discon.
// Noise Canceler: Off
// Input Capture on Falling Edge
// Timer1 Overflow Interrupt: Off
// Input Capture Interrupt: Off
// Compare A Match Interrupt: On
// Compare B Match Interrupt: Off
// Compare C Match Interrupt: Off
TCCR1A=0x00;
TCCR1B=0x0A;
TCNT1H=0x00;
TCNT1L=0x00;
ICR1H=0x00;
ICR1L=0x00;
OCR1AH=0xC3;
OCR1AL=0x50;
OCR1BH=0x00;
OCR1BL=0x00;
OCR1CH=0x00;
OCR1CL=0x00;

// Timer/Counter 2 initialization
// Clock source: System Clock
// Clock value: Timer2 Stopped
// Mode: Normal top=0xFF
// OC2 output: Disconnected
TCCR2=0x00;
TCNT2=0x00;
OCR2=0x00;

// Timer/Counter 3 initialization
// Clock source: System Clock
// Clock value: Timer3 Stopped
// Mode: Normal top=0xFFFF
// OC3A output: Discon.
// OC3B output: Discon.
// OC3C output: Discon.
// Noise Canceler: Off
// Input Capture on Falling Edge
// Timer3 Overflow Interrupt: Off
// Input Capture Interrupt: Off
// Compare A Match Interrupt: Off
// Compare B Match Interrupt: Off
// Compare C Match Interrupt: Off
TCCR3A=0x00;
TCCR3B=0x00;
TCNT3H=0x00;
TCNT3L=0x00;
ICR3H=0x00;
ICR3L=0x00;
OCR3AH=0x00;
OCR3AL=0x00;
OCR3BH=0x00;
OCR3BL=0x00;
OCR3CH=0x00;
OCR3CL=0x00;

// External Interrupt(s) initialization
// INT0: On
// INT0 Mode: Rising Edge
// INT1: Off
// INT2: Off
// INT3: Off
// INT4: Off
// INT5: Off
// INT6: Off
// INT7: Off
EICRA=0x03;
EICRB=0x00;
EIMSK=0x01;
EIFR=0x01;

// Timer(s)/Counter(s) Interrupt(s) initialization
TIMSK=0x10;

ETIMSK=0x00;

// USART0 initialization
// USART0 disabled
UCSR0B=0x00;

// USART1 initialization
// USART1 disabled
UCSR1B=0x00;

// Analog Comparator initialization
// Analog Comparator: Off
// Analog Comparator Input Capture by Timer/Counter 1: Off
ACSR=0x80;
SFIOR=0x00;

// ADC initialization
// ADC disabled
ADCSRA=0x00;

// SPI initialization
// SPI disabled
SPCR=0x00;

// TWI initialization
// TWI disabled
TWCR=0x00;

// Alphanumeric LCD initialization
// Connections are specified in the
// Project|Configure|C Compiler|Libraries|Alphanumeric LCD menu:
// RS - PORTC Bit 0
// RD - PORTC Bit 2
// EN - PORTC Bit 1
// D4 - PORTC Bit 4
// D5 - PORTC Bit 5
// D6 - PORTC Bit 6
// D7 - PORTC Bit 7
// Characters/line: 16
lcd_init(16);

// Global enable interrupts
#asm("sei")
sleep_enable();
lcd_clear();
lcd_putsf("Pemisahan Logam.");
lcd_gotoxy(0,1);
lcd_putsf("  Tekan Start!  ");

while (1)
      {
      // Place your code here
        if(sensor_logam)
            PORTA.2 = 0;
        else PORTA.2 = 1;
       
        while (start)
            {
                if(sensor_logam)
                    PORTA.2 = 0;
                else PORTA.2 = 1;
               
                if((sensor_gaya < 1) & (bataswaktu >= 25))  //sensor_gaya tidak dibuat = 0  karena meskipun tidak ditekan nilainya belum tentu sama dengan 0
                    {
                        PORTA.0 = 1;
                        lcd_clear();
                        lcd_putsf("   Power Down   ");
                        lcd_gotoxy(0,1);
                        lcd_putsf("Check & Restart!");
                        bataswaktu = 0;
                        powerdown();
                    }
               
                while (sensor_gaya)
                    {           
                       if (sensor_logam)
                       {     
                            jumlah_logam++;                          
                       }
                       else
                       {
                            jumlah_nonlogam++;                       
                       }
                      
                       PORTA.1 = 1;
                       delay_ms(100);   //untuk S1 dorong
                       PORTA.1 = 0;                  
                      
                       lcd_clear();
                      
                       sprintf(text,"Logam: %u  ", jumlah_logam);
                       lcd_gotoxy(0,0);
                       lcd_puts(text);
                      
                       sprintf(text,"Non Logam: %u  ", jumlah_nonlogam);
                       lcd_gotoxy(0,1);
                       lcd_puts(text);
                      
                       delay_ms(100);   //untuk S1 tarik
                       bataswaktu = 0;                               
                    }
            }
           
      }
}


Cara mengetahui ip address raspberry atau perangkat lain yg terhubung pada wifi yg sama

1. Install nmap [jika belum ada]: sudo apt install nmap 2. Cek ip address komputer (yg akses ke wifi yang sama): ip addr misal hasilnya 192....